Welcome to OStack Knowledge Sharing Community for programmer and developer-Open, Learning and Share
Welcome To Ask or Share your Answers For Others

Categories

Recent questions tagged verilog

0 votes
1.2k views
1 answer
    I tried to figure out the inferred latch and why it is needed internally, but I couldn't find any resources with enough detail. See Question&Answers more detail:os...
asked Oct 17, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
857 views
1 answer
    What are the +: and -: Verilog/SystemVerilog operators? When and how do you use them? For example: ... -: width_expr] up_vect [lsb_base_expr -: width_expr] Question&Answers:os...
asked Oct 17, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
1.0k views
1 answer
    I have SystemVerilog code in which replication is used that I don't understand. Please be thorough with ... questions/65836185/i-dont-understand-this-define-macro-with-replication...
asked Oct 7, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
1.1k views
1 answer
    In the following testbench module for_loop; int c; initial begin $display("Write Here!"); c = $fgetc( ... com/questions/65841430/fgetc-systemverilog-function-doesnt-read-from-stdin...
asked Oct 7, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
1.1k views
1 answer
    I am writing an assertion check for the following structure Basically, I want to check that output is ... are-if-else-statements-not-encouraged-within-systemverilog-assertion-prop...
asked Oct 7, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
1.1k views
1 answer
    I want to use a for loop in Verilog to get from binary 0000000 to 0011111. I have a problem with the ... :https://stackoverflow.com/questions/65927030/for-loop-with-binary-numbers...
asked Oct 7, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
1.1k views
1 answer
    I am modelling a 4 bit register with enable and asynchronous reset . The register has three one bit input ... /questions/65713489/4-bit-register-with-enable-and-asynchronous-reset...
asked Oct 7, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
858 views
1 answer
    I have to make an arbitrary counter for a determined sequence, and after making the transition ... stackoverflow.com/questions/65643542/arbitrary-counter-only-displaying-zeros...
asked Oct 7, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
1.1k views
1 answer
    I want to create a very compact parallel to serial shift register. (我想创建一个非常紧凑的并行串行移位寄存器 ) I have manually designed a ... 我尝试在TinyFPGA.BX上编译代码 ) ask by E. Timotei translate from so...
asked Mar 6, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
1.2k views
1 answer
    I want to create a very compact parallel to serial shift register. (我想创建一个非常紧凑的并行串行移位寄存器 ) I have manually designed a ... 我尝试在TinyFPGA.BX上编译代码 ) ask by E. Timotei translate from so...
asked Feb 21, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
1.3k views
1 answer
    I am working with RAM in Verilog, and I need to implement a test bench where I will confirm the correct ... always @ (instraddr) begin instrrd=mem[instraddr]; end endmodule...
asked Feb 19, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
1.5k views
1 answer
    I'm trying to create a task for a UVM sequence that takes a packed data input and uses it for a constraint on ... in a basic setting it appears what I originally wrote would work....
asked Feb 19, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
1.5k views
1 answer
    I would like to fill in the correct signal declarations and the modport declarations so that my interface and ... a single element for their particular instantiation and code....
asked Feb 6, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
2.8k views
1 answer
    ipv6_hdr__f_next_header_cp: coverpoint this.ipv6_hdr.ipv6_f_next_header iff (this.has_ipv6_header){ bins ipsec_33 = {'h33}; bins ... way of making the default an active bin?...
asked Jan 27, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
3.0k views
1 answer
    ipv6_hdr__f_next_header_cp: coverpoint this.ipv6_hdr.ipv6_f_next_header iff (this.has_ipv6_header){ bins ipsec_33 = {'h33}; bins ... way of making the default an active bin?...
asked Jan 27, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
3.8k views
1 answer
    I want to $display strings in a column like in a fixed-width table. However, I don't know what the maximum column ... need. How can I automatically scale the width of the $display?...
asked Jan 25, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
3.8k views
1 answer
    I wrote the following SystemVerilog code inside always_comb: // State transitions SW2_REP: begin casex (mani) 1'b0: next = ... its value to zero. Note: my clock is 11 cycles...
asked Jan 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
0 votes
3.9k views
1 answer
    I wrote the following SystemVerilog code inside always_comb: // State transitions SW2_REP: begin casex (mani) 1'b0: next = ... its value to zero. Note: my clock is 11 cycles...
asked Jan 24, 2021 in Technique[技术] by 深蓝 (71.8m points)
To see more, click for the full list of questions or popular tags.
Ask a question:
Welcome to OStack Knowledge Sharing Community for programmer and developer-Open, Learning and Share
Click Here to Ask a Question

...